[Elphel-support] Questions about FPGA

Gladys Gladys yuhui.b at gmail.com
Thu Jun 3 15:55:04 PDT 2010


On Tue, Jun 1, 2010 at 11:43 PM, Andrey Filippov <andrey at elphel.com> wrote:

>
> Yuhui
>
> Our software distribution available at Sourceforge includes the simulation
> scripts and used models. We use Icarus Verilog and GTKWave for the
> simulation and we do not support ModelSim simuilator. Unfortunately even
> simulation requires Verilog code of Xilinx primitives that we can not
> re-distribute because of the Xilinx licensing, and some of those primitives
> require patches to work properly with Icarus.
>
> So I would  recommend to make a complete SDK installation as described on
> http://wiki.elphel.com/index.php?title=Elphel_Software_Kit_for_Ubuntu(with minor modifications it works with (K)Ubuntu 10.4 also). If you have
> Xilinx WebPack installed on your computer, the software build script would
> detect the installation, copy unisims library to the installation directory
> and patch it. After that you'll be able to run x353_sim.sh script that
> simulates the design.
>
> Image processing in the camera is performed in several steps, all taking
> place simultaneously in the FPGA. When FPGA receives the sensor data it can
> combine it with the FPN data stored in the SDRAM, scale the data, optionally
> perform lens vignetting correction, perform gamma conversion and save data
> in the SDRAM memory attached to the FPGA. Simultaneously histograms are
> calculated.
>
> As soon as there are more than 20 scan lines available in the memory, the
> data is read to the FPGA in 20x20 pixel overlapping blocks, the data is
> optionally subject to color interpolation, then it goes through the JPEG
> compression stages (DCT, quantization, huffman encoding, bit stuffer). The
> result compressed data is transferred to the system memory using DMA.
>
> Andrey
>
>
Thank you. I've installed all the tools required but after following the
step in KDevelop installation(
http://wiki.elphel.com/index.php?title=KDevelop) I try to install the
verilog template( the path is right) but I can't find the template in
Kdevelop. I can't get it correctly configured so I'm back to windows and am
still using Isim simulation tool included in Xilinx WebPack. I also want to
know if XTK is enough for Synthesis?

When processing with the data, for example, to calculate histogram, we need
to evaluate illumination of each pixel, how could we store the entire 5MPX
image into SDRAM? And I also don't understand what does 20x20 pixel
overlapping blocks mean exactly, as each line contains up to 2592 pixels.

Yuhui
-------------- next part --------------
An HTML attachment was scrubbed...
URL: <http://support.elphel.com/pipermail/support-list_support.elphel.com/attachments/20100604/bc51ed50/attachment-0002.html>


More information about the Support-list mailing list