[Elphel-support] Questions about FPGA

Andrey Filippov andrey at elphel.com
Tue Jun 1 14:43:37 PDT 2010


Yuhui

Our software distribution available at Sourceforge includes the simulation
scripts and used models. We use Icarus Verilog and GTKWave for the
simulation and we do not support ModelSim simuilator. Unfortunately even
simulation requires Verilog code of Xilinx primitives that we can not
re-distribute because of the Xilinx licensing, and some of those primitives
require patches to work properly with Icarus.

So I would  recommend to make a complete SDK installation as described on
http://wiki.elphel.com/index.php?title=Elphel_Software_Kit_for_Ubuntu (with
minor modifications it works with (K)Ubuntu 10.4 also). If you have Xilinx
WebPack installed on your computer, the software build script would detect
the installation, copy unisims library to the installation directory and
patch it. After that you'll be able to run x353_sim.sh script that simulates
the design.

Image processing in the camera is performed in several steps, all taking
place simultaneously in the FPGA. When FPGA receives the sensor data it can
combine it with the FPN data stored in the SDRAM, scale the data, optionally
perform lens vignetting correction, perform gamma conversion and save data
in the SDRAM memory attached to the FPGA. Simultaneously histograms are
calculated.

As soon as there are more than 20 scan lines available in the memory, the
data is read to the FPGA in 20x20 pixel overlapping blocks, the data is
optionally subject to color interpolation, then it goes through the JPEG
compression stages (DCT, quantization, huffman encoding, bit stuffer). The
result compressed data is transferred to the system memory using DMA.

Andrey
-------------- next part --------------
An HTML attachment was scrubbed...
URL: <http://support.elphel.com/pipermail/support-list_support.elphel.com/attachments/20100601/6c5c267e/attachment-0002.html>


More information about the Support-list mailing list